Home

  • Publications

Recent Papers

  • Vertical Slit Field Effect Transistor in ultra-low power applications
  • Can pin access limit the footprint scaling?
  • A Low Energy Network-on-Chip Fabric for 3-D Multi-Core Architectures
  • Investigation of emerging middle-of-line poly gate-to-diffusion contact reliability issues
  • Metrics for characterizing machine learning-based hotspot detection methods
  • A study on cell-level routing for VeSFET circuits
  • On Cell Layout-Performance Relationships in VeSFET-Based, High-Density Regular Circuits

Floorplanning with pin assignment

TitleFloorplanning with pin assignment
Publication TypeConference Paper
Year of Publication1990
Authors , ,
Conference NameComputer-Aided Design, 1990. ICCAD-90. Digest of Technical Papers., 1990 IEEE International Conference on
Date Publishednov
Keywords , , , , , , , , , , ,
AbstractA hierarchical technique is presented for floorplanning and pin assignment of general cell layouts. Given a set of cells with their shape lists, a layout aspect ratio, relative positions of the external I/O pads and upper bound delay constraints for a set of critical nets, the authors determine shapes and positions of the cells, locations of the floating pins on cells and a global routing solution such that a linear combination of the layout area, the total interconnection length and constraint violations for critical nets is minimized. Floorplanning, pin assignment and global routing influence one another during the hierarchical steps of the algorithm. The pin assignment algorithm is flexible and allows various user specified constraints such as pre-specified pin locations, feedthrough pins, length-critical nets and planar net topologies. Placement, timing and floorplanning results for a Xerox general cell benchmark are reported
DOI
  • Google Scholar

assignment in pin

Stack Exchange Network

Stack Exchange network consists of 183 Q&A communities including Stack Overflow , the largest, most trusted online community for developers to learn, share their knowledge, and build their careers.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

How to assign different pins in Pin Planner in Quartus?

I am trying to make the 7 segment display of my FPGA work. I found some working code, but I got issues with the pin planner. The FPGA is this , a knockoff Altera Cyclone IV E EP4CE6E22C8. The code :

The code compiles and I can upload it, I just can't change the pins so that they can match the ones of my FPGA. I was thinking to use the dip switches as inputs. Some screenshots below.

Output Pins for 7 Seg Display

To whoever takes sometime to help, thank you!

  • 7segmentdisplay

jusaca's user avatar

  • \$\begingroup\$ As a start, look in the project's .qsf (Quartus Settings File). That will list the pin physical constraints including pin locations. You can edit and save that directly, following the format of the existing pin location constraints, which should be there from what you show. Then try synthesizing that and let us know. \$\endgroup\$ –  TonyM Commented Aug 19, 2019 at 8:35
  • \$\begingroup\$ I am sorry, but i am kind of new to this, where can i locate the .qsf file? Also i think that i when started the project i chose the .osf file format, would that be a problem? \$\endgroup\$ –  Rozakos Commented Aug 19, 2019 at 9:06
  • \$\begingroup\$ Enter your pins in the Pin Planner Location column. They will be assigned when you rebuild the project. \$\endgroup\$ –  Leon Heller Commented Aug 19, 2019 at 9:34
  • \$\begingroup\$ That seems to have done the trick! Thank you very much! Ok one more question, is it possible to set the CLK on HIGH all the time? I guess i could assign it to the dip switch? \$\endgroup\$ –  Rozakos Commented Aug 19, 2019 at 10:09
  • \$\begingroup\$ There are several ways of assigning pins - best is to insert them in the code but I've forgotten how to do that. Can't help with your CLK problem. \$\endgroup\$ –  Leon Heller Commented Aug 19, 2019 at 10:20

Enter your pins in the Pin Planner Location column. They will be assigned when you rebuild the project.

Bill 's user avatar

Your Answer

Sign up or log in, post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged vhdl 7segmentdisplay quartus or ask your own question .

  • The Overflow Blog
  • Where does Postgres fit in a world of GenAI and vector databases?
  • Mobile Observability: monitoring performance through cracked screens, old...
  • Featured on Meta
  • Announcing a change to the data-dump process
  • Bringing clarity to status tag usage on meta sites

Hot Network Questions

  • Why is there no article after 'by'?
  • Can you give me an example of an implicit use of Godel's Completeness Theorem, say for example in group theory?
  • Many LaTeX packages missing after upgrading from 22.04 to 24.04.1
  • Can unlimited Duration spells be Dismissed?
  • Journal keeps messing with my proof
  • Which Mosaic law was in the backdrop of ceremonial hand-washing in Mark 7?
  • Is there a nonlinear resistor with a zero or infinite differential resistance?
  • How to create a grid of numbers 25x25 from 1 to 625 in geometry node?
  • ESTA is not letting me pay
  • magnetic boots inverted
  • Math format bug for \mathbf command in TeXLive 2023/2024
  • Does the order of ingredients while cooking matter to an extent that it changes the overall taste of the food?
  • How can judicial independence be jeopardised by politicians' criticism?
  • How can one be honest with oneself if one IS oneself?
  • Where does the energy in ion propulsion come from?
  • Explain how π – 1 + 50 + ⅔ × 1000 is PLONK
  • Who is the referent of "him" in Genesis 18:2?
  • What unique phenomena would be observed in a system around a hypervelocity star?
  • Reconstruction of Riemann surface from a germ of holomorphic function
  • What are some refutations to the etymological fallacy?
  • My supervisor wants me to switch to another software/programming language that I am not proficient in. What to do?
  • Is the spectrum of Hawking radiation identical to that of thermal radiation?
  • Why are complex coordinates outlawed in physics?
  • How can I draw intersection of a sphere and a plane?

assignment in pin

Floorplanning and Pin Assignment

Cite this chapter.

assignment in pin

687 Accesses

Floorplanning and Pin assignment are key steps in physical design cycle. The pin assignment is usually carried out after the blocks have been placed to reduce the complexity of the overall problem.

Several placement algorithms have been presented. Simulated annealing and simulated evolution are two most successful placement algorithm. Although these algorithms are computationally intensive, they do produce good placements. Integer programming based algorithms for floorplanning have been also been successful. Several algorithms have been presented for pin assignment, including optimal pin assignment for channel pin assignment problems. The output of the placement phase must be routable, otherwise placement has to be repeated.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save.

  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
  • Durable hardcover edition

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Unable to display preview.  Download preview PDF.

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Kluwer Academic Publishers

About this chapter

(2002). Floorplanning and Pin Assignment. In: Algorithms for VLSI Physical Design Automation. Springer, Boston, MA. https://doi.org/10.1007/0-306-47509-X_6

Download citation

DOI : https://doi.org/10.1007/0-306-47509-X_6

Publisher Name : Springer, Boston, MA

Print ISBN : 978-0-7923-8393-2

Online ISBN : 978-0-306-47509-2

eBook Packages : Springer Book Archive

Share this chapter

Anyone you share the following link with will be able to read this content:

Sorry, a shareable link is not currently available for this article.

Provided by the Springer Nature SharedIt content-sharing initiative

  • Publish with us

Policies and ethics

  • Find a journal
  • Track your research

pin assignments in code

I'm confused on pin assignments on the Nano.

There's the pins on the board itself and pins on the chip. The schematic shows board pin #'s that are the same, because there are two jacks.

There are examples of code that call out a pin by # and others that call it out by name.

myservo.attach(9) - is this pin 9 on the board (D6) or pin 9 on the chip (D5)? If I call out pin 9, how do I determine if it's the D6 pin or the A3 pin on the other header?

val=analogRead(A0) - does this indicate that the compiler knows that A0 is attached to the chip pin 23, and the board pin 12,

Would it be better to call out pins by name per the analog command, and let the compiler figure out what the pin# is or should I go by pin #'s on the chip, since there are duplicated pin #'s on the nano board itself?

This helped me. http://www.pighixxx.com/test/pinoutspg/boards/

Yes, it's almost always better to use the predefined constants, for portability between boards, as well as convenience. If you do that, all the mental machinations above will mostly disappear.

All references to the pin number in code are refer to the logical/arduino pin numbers. So 9 means the pin marked D9, physical pin 15 on the DIP version of the ATMega328p, physical pin 13 on the TQFP version.

The digital pin number, or the D# constant can be used interchangibly (they're just #defines - D9 is #defined to be 9, so the preprocessor searches for D9 and replaces them all with 9).

With analog pins, you use either the A# define, or you keep counting up from the last digital pin* (eg, on nano, last digital pin is 13; A0 is 14). For analogRead(), you can also use the number of the channel (eg, analogRead(0), analogRead(A0), and analogRead(14) do the same thing (though the last one will confuse a lot of the people who read it, so it's not good practice).

Physical pin numbers are never used when writing code, and frankly, almost never used when talking about the pins on microcontrollers period. As I noted above, for example, the physical pin numbers between the same chip in different packages are often different.

Sometimes pins are refered to like Pxn (where X is a letter and N is a number from 0~7, ex, arduino pin 9 is PB1) - these refer to the "port" and pin number within that port - this is relevant if doing direct port manipulation, or talking to people who don't use the Arduino IDE.

  • This is how it's done on all the official AVR-based cores (haven't looked at the SAM/ARM boards), and every third party AVR core I've seen, but an idiot could create a core where that convention wasn't followed.

Related Topics

Topic Replies Views Activity
Project Guidance 6 7344 May 5, 2021
Microcontrollers 6 4995 September 24, 2021
Programming Questions 16 12447 May 6, 2021
Programming Questions 2 44 July 25, 2024
Nano Every 4 2778 October 23, 2021

Pin assignments

This section describes the pin assignment and the pin functions of the nRF9161 .

The device provides flexibility when it comes to routing and configuration of the GPIO pins. However, for some pins there are recommendations on pin usage and configuration. See following table for more information about this.

LGA pin assignments

The pin assignment table and figure describe the assignments.

Table 1. LGA pin assignments
Pin no Pin name Function Description
1 GND Power Ground
2 P0.05 Digital I/O (SoC) General purpose I/O
3 P0.06 Digital I/O (SoC) General purpose I/O
4 P0.07 Digital I/O (SoC) General purpose I/O
5 GND Power Ground
6 GND Power Ground
7 GND Power Ground
8 GND Power Ground
9 GND Power Ground
10 RES   Do not connect/reserved for future use
11 GND Power Ground
12 VDD_GPIO Power GPIO power supply input and logic level
13 DEC0 Power Power supply decoupling. Reserved for Nordic use.
14 GND Power Ground
15 P0.08 Digital I/O (SoC) General purpose I/O
16 P0.09 Digital I/O (SoC) General purpose I/O
17 GND Power Ground
18 P0.10 Digital I/O (SoC) General purpose I/O
19 P0.11 Digital I/O (SoC) General purpose I/O
20 P0.12 Digital I/O (SoC) General purpose I/O
21 GND Power Ground
22 VDD2 Power Supply voltage input
23

P0.13
AIN0

Digital I/O (SoC)
Analog input

General purpose I/O
Analog input

24

P0.14
AIN1

Digital I/O (SoC)
Analog input

General purpose I/O
Analog input

25

P0.15
AIN2

Digital I/O (SoC)
Analog input

General purpose I/O
Analog input

26

P0.16
AIN3

Digital I/O (SoC)
Analog input

General purpose I/O
Analog input

27 GND Power Ground
28

P0.17
AIN4

Digital I/O (SoC)
Analog input

General purpose I/O
Analog input

29

P0.18
AIN5

Digital I/O (SoC)
Analog input

General purpose I/O
Analog input

30

P0.19
AIN6

Digital I/O (SoC)
Analog input

General purpose I/O
Analog input

31 GND Power Ground
32 nRESET Digital I/O (SoC) SoC reset pin
33 SWDCLK Digital input Serial wire debug clock input for debug and programming
34 SWDIO Digital I/O Serial wire debug I/O for debug and programming
35

P0.20
AIN7

Digital I/O (SoC)
Analog input

General purpose I/O
Analog input

36 GND Power Ground
37

P0.21
TRACECLK

Digital I/O (SoC)
Trace clock

General purpose I/O
Trace buffer clock (optional)

38

P0.22
TRACEDATA[0]

Digital I/O (SoC)
Trace data

General purpose I/O
Trace buffer TRACEDATA[0] (optional)

39

P0.23
TRACEDATA[1]

Digital I/O (SoC)
Trace data

General purpose I/O
Trace buffer TRACEDATA[1] (optional)

40

P0.24
TRACEDATA[2]

Digital I/O (SoC)
Trace data

General purpose I/O
Trace buffer TRACEDATA[2] (optional)

41 GND Power Ground
42

P0.25
TRACEDATA[3]

Digital I/O (SoC)
Trace data

General purpose I/O
Trace buffer TRACEDATA[3] (optional)

43 SIM_RST Digital I/O (SoC) SIM reset
44 GND Power Ground
45 SIM_DET Digital I/O (SoC)

SIM detect
Not used. Must be left floating.

46 SIM_CLK Digital I/O (SoC) SIM clock
47 GND Power Ground
48 SIM_IO Digital I/O (SoC) SIM data
49 SIM_1V8 Power SIM 1.8 V power supply output
50 GND Power Ground
51 RES   Do not connect/reserved for future use
52 GND Power Ground
53 MAGPIO2 Digital I/O (SoC) 1.8 V general purpose I/O
54 MAGPIO1 Digital I/O (SoC) 1.8 V general purpose I/O
55 MAGPIO0 Digital I/O (SoC) 1.8 V general purpose I/O
56 GND Power Ground
57 VIO Power MIPI RFFE control interface
58 SCLK Digital I/O (SoC) MIPI RFFE control interface
59 SDATA Digital I/O (SoC) MIPI RFFE control interface
60 GND Power Ground
61 ANT RF Single-ended 50 Ω LTE antenna pin
62 GND Power Ground
63 GND Power Ground
64 AUX RF Single-ended 50 Ω ANT loop-back pin
65 GND Power Ground
66 GND Power Ground
67 GPS RF Single-ended 50 Ω GPS input pin
68 GND Power Ground
69 GND Power Ground
70 RES   Do not connect/reserved for future use
71 RES   Do not connect/reserved for future use
72 GND Power Ground
73 RES   Do not connect/reserved for future use
74 GND Power Ground
75 GND Power Ground
76 GND Power Ground
77 GND Power Ground
78 GND Power Ground
79 GND Power Ground
80 GND Power Ground
81 GND Power Ground
82 GND Power Ground
83 P0.26 Digital I/O (SoC) General purpose I/O
84 P0.27 Digital I/O (SoC) General purpose I/O
85 GND Power Ground
86 P0.28 Digital I/O (SoC) General purpose I/O
87 P0.29 Digital I/O (SoC) General purpose I/O
88 P0.30 Digital I/O (SoC) General purpose I/O
89 P0.31 Digital I/O (SoC) General purpose I/O
90 GND Power Ground
91 COEX2 Digital I/O (SoC) Coexistence interface
92 COEX1 Digital I/O (SoC) Coexistence interface
93 COEX0 Digital I/O (SoC) Coexistence interface
94 GND Power Ground
95 P0.00 Digital I/O (SoC) General purpose I/O
96 P0.01 Digital I/O (SoC) General purpose I/O
97 P0.02 Digital I/O (SoC) General purpose I/O
98 GND Power Ground
99 P0.03 Digital I/O (SoC) General purpose I/O
100 P0.04 Digital I/O (SoC) General purpose I/O
101 ENABLE  

Enable for the SiP internal regulator for the nRF91 SoC.

The nRF91 will not start until this pin is enabled.
102 VDD1 Power Supply voltage
103 GND Power Ground
104-127 RES   Do not connect/reserved for future use

COMMENTS

  1. Making FPGA Pin Assignments

    1. Use the Intel® Quartus® Prime Pin Planner to make pin assignments. 2. Use Intel® Quartus® Prime Fitter messages and reports for sign-off of pin assignments. 3. Verify that the Intel® Quartus® Prime pin assignments match those in the schematic and board layout tools. With the Intel® Quartus® Prime Pin Planner GUI, you can identify I/O ...

  2. Lesson 5. Pin Assignment and Configuration

    In this video, I talk more about pin assignment. This includes how pins have both logical and physical names. Then I go through the pin assignment tables in ...

  3. 2.6.1. Pin Assignment

    Pin Assignment. 2.6.1. Pin Assignment. When you integrate your Interlaken IP instance in your design, you must make appropriate pin assignments. You do not need to specify pin assignments for simulation. However, you should make the pin assignments before you compile. Pin assignments provide direction to the Fitter and specify the signals that ...

  4. 2.3. Importing and Exporting I/O Pin Assignments

    Importing and Exporting I/O Pin Assignments. 2.3. Importing and Exporting I/O Pin Assignments. The Intel® Quartus® Prime software supports transfer of I/O pin assignments across projects, or for analysis in third-party PCB tools. You can import or export I/O pin assignments in the following ways: Table 5.

  5. Pin Assignment

    Pin Assignment. In subject area: Computer Science. Pin assignment refers to the process of manually assigning specific pins on a Field-Programmable Gate Array (FPGA) to different signals or functionality. This is done by the design team to optimize the performance and functionality of the FPGA, as the tools used for FPGA placement and routing ...

  6. PDF Pin Assignment for Multi-FPGA Systems

    The pin assignment process for multi-FPGA systems assigns all signals to traces, and logic pins to IOBs. Specifically, each signal S , which is connected to partitions P1 …. Pn , must be assigned to exactly one trace T . This trace must be connected to chips C ={ C1 …. Cm } such that each partition P ∈{P1 ….

  7. Solving FPGA I/O pin assignment challenges

    Input/Output (I/O) pin assignment is one of the main challenges facing designers integrating large FPGA devices onto PCBs. Many designers find the process of defining the I/O pin configuration, or "pinout," of large FPGA devices and their advanced BGA packages an increasingly difficult task for a seemingly ever-expanding number of reasons.

  8. Specify exact pin locations on FPGA

    There are two ways of specifying PIN assignment — you can either use PinPlanner or set_location_assignment to specify the PIN along with set_instance_assignment to specify the IO standard. I recommend you read I/O Management documentation from Altera. But here are few examples: These are location assignments for 1 GbE RGMII Ethernet Interface:

  9. Pin assignments

    Pin assignments. This section describes the pin assignment and the pin functions. This device provides flexibility when it comes to routing and configuration of the GPIO pins. However, some pins have recommendations for how the pin should be configured or what it should be used for. See LGA pin assignments for more information about this.

  10. Pin assignments

    Pin assignments. This section describes the pin assignment and the pin functions. This device provides flexibility when it comes to routing and configuration of the GPIO pins. However, some pins have recommendations for how the pin should be configured or what it should be used for. In addition to the information in the pinout tables for the ...

  11. Floorplanning with pin assignment

    Floorplanning, pin assignment and global routing influence one another during the hierarchical steps of the algorithm. The pin assignment algorithm is flexible and allows various user specified constraints such as pre-specified pin locations, feedthrough pins, length-critical nets and planar net topologies. Placement, timing and floorplanning ...

  12. PDF Pin Assignment Algorithms

    The pin assignment algorithms are used to create assignments between all signal pins of the dies and all signal pins on the bottom side of the chip carrier. The signal nets considered are two-terminal nets. The created pin assignments are evaluated by means of fast quality estimation metrics.

  13. 2.3.2. Assigning Pin I/O Standards in the Intel® Quartus® Prime Pin

    From the Intel® Quartus® Prime menu, select Assignments > Pin Planner. Under the Node Name column in the All Pins box, look for the pin that you want to configure. Under the Location column, select the specific pin location. The I/O Bank column displays the I/O bank name where the pin resides. The Top View - Flip Chip diagram shows the I/O ...

  14. Pin assignments

    QFN48 pin assignments. The pin assignment figure and table describe the assignments for this variant of the chip. Note: VDD and VDDH are shortcircuited inside the package. Therefore the device is only usable in Normal Voltage supply mode, and not High Voltage supply mode. Figure 2. QFN48 pin assignments, top view. Table 2. QFN48 pin assignments.

  15. How to assign different pins in Pin Planner in Quartus?

    That will list the pin physical constraints including pin locations. You can edit and save that directly, following the format of the existing pin location constraints, which should be there from what you show. Then try synthesizing that and let us know. \$\endgroup\$ -

  16. Floorplanning and Pin Assignment

    Floorplanning and Pin assignment are key steps in physical design cycle. The pin assignment is usually carried out after the blocks have been placed to reduce the complexity of the overall problem. Several placement algorithms have been presented. Simulated annealing...

  17. how do I assign an FPGA pin number to a signal in Vivado?

    Each one has a specific FPGA pin connected to it. I want to assign my external signals (in the entity port) to some of these switches and leds. If you are looking to specify pin locations to signals that exist in your design, it fairly simple using the I/O Planning layout in Vivado. 1- Open an elaborated, synthesized or implemented design. From ...

  18. 5.6.2.1.2. Defining Preset Pin Assignments in a Pin File

    Defining Preset Pin Assignments in a Pin File. Alternatively, you can specify the pin assignments in a Pin Constraints File ( .tcl ), which can be more efficient for projects with many ports. You specify this .tcl file as the Pin Constraint File on the Pin Assignments tab, and then click Load Pin. The Pin Location and IO Standard update per the ...

  19. Pin assignment

    Pin assignment can be done independent of the implementation logic. When you are creating a new project, you can select "Io Pin Planning project" to do the pin assignments. This will be written to an XDC file which should be used during implementation. I am trying to change a previous project to work in a new board and add more function.

  20. pin assignments in code

    All references to the pin number in code are refer to the logical/arduino pin numbers. So 9 means the pin marked D9, physical pin 15 on the DIP version of the ATMega328p, physical pin 13 on the TQFP version. The digital pin number, or the D# constant can be used interchangibly (they're just #defines - D9 is #defined to be 9, so the preprocessor ...

  21. 3.2.1. Generating Pin Assignment Files

    The Intel® Quartus® Prime-generated .pin contains the I/O pin name, number, location, direction, and I/O standard for all used and unused pins in the design. Click Assignments > Pin Planner to modify I/O pin assignments. You cannot import pin assignment changes from a Mentor Graphics* .pin into the Intel® Quartus® Prime software.. The .fx is an input or output of either the Intel® Quartus ...

  22. Pin assignments

    This section describes the pin assignment and the pin functions of the nRF9161. The device provides flexibility when it comes to routing and configuration of the GPIO pins. However, for some pins there are recommendations on pin usage and configuration. See following table for more information about this. Parent topic: Hardware and layout.

  23. ピンアサイン(ピン配列 / ピン配置)とは

    ピンアサイン(pin assignment)とは、ICチップやケーブルの接続端子に並んだ金属接点(ピン)のそれぞれに与えられた役割。 また、各 ピン の役割や仕様を並べた一覧表などのこと。

  24. 7.1.4. Pin Assignments

    Pin Assignments. 7.1.4. Pin Assignments. Black-boxing logic can be the cause of some pin assignment errors. Use the following guidelines to resolve pin assignments. Reassign high-speed communication input pins to correct such errors. The FPGA checks for the status of high-speed pins and generates some errors if you do not connect these pins ...